The Infona portal uses cookies, i.e. strings of text saved by a browser on the user's device. The portal can access those files and use them to remember the user's data, such as their chosen settings (screen view, interface language, etc.), or their login data. By using the Infona portal the user accepts automatic saving and using this information for portal operation purposes. More information on the subject can be found in the Privacy Policy and Terms of Service. By closing this window the user confirms that they have read the information on cookie usage, and they accept the privacy policy and the way cookies are used by the portal. You can change the cookie settings in your browser.
Among the technological developments pushed by the adoption of Through Silicon Vias and 3D Stacked IC technologies, wafer thinning on a temporary carrier has become a critical element in device processing over the past years. First generation of adhesive materials enabled the integration of the first devices at the expense of capping the thermal budget. Hence new generation materials are being explored...
To improve the performance of 3D electronic chips, dense I/O and interconnects are required. Increasing the density of interconnects requires smaller pitch micro-bumps. However, when scaling down microbumps several challenges have to be taken into account. Lithography of dense and high aspect ratio bump, wet etching of seed and barrier layer, solder volume and intermetallics (IMC) formation are some...
Over the past few years, temporary bonding has expanded together with the development of 3D stacked IC (SIC) technology. As maturity of the various processes has constantly improved, process yield and process impact on device performance have become key questions to answer. To further answer the refraining elements preventing a more massive technology adoption, in-line testing of the device throughout...
In this study we discuss superiority of Cobalt for using in 3D interconnection as alternative metal to Cu. Specimens composed of pure Sn and Co or Cu is aged under same aging condition varied time and temperature below melting point of Sn. Thickness of IMC (intermetallic compound) formed at the interface is then calculated for extraction of growth rate and kinetics like activation energy and power...
As the 3D interconnect density is increasing exponentially when scaling to lower levels of the interconnect wiring, we see that very soon 3D interconnect pitches of 5 μm and below will be required. Current 3D-SIC (3D-Stacked IC) technologies do not yet offer such interconnect densities and it is expected that most of the 3D-SOC (3D System On Chip) integration technology schemes will require a wafer-to-wafer...
An advanced TSV metallization scheme, featuring a high conformal ALD oxide liner, a thermal ALD WN barrier, an electroless NiB platable seed and a high throughput copper ECD filling is presented. Because of the high conformality of the WN barrier and NiB seed, very thin layers can be deposited, reducing the manufacturing cost significantly, while still guaranteeing continuous barrier/seed layers all...
Processing of bump-less or embedded microbumps is introduced in this paper as an approach which enables scaling microbumps for below 10um pitches. Landing wafer is standard damascene process and in top wafer bumps are embedded in a soft backed polymer. Later during thermo-compression bonding this polymer is cured to bond two chips together. Process flow and results of TC bonding is discussed in this...
This paper examines the key aspects for quality improvement and throughput enhancement of thermal compression bonding (TCB) process using dry film laminated wafer-level underfill (WLUF) material. The WLUF material must have good compatibility with pre-assembly and assembly process steps. And all the process steps after and including WLUF lamination have to be co-optimized to ensure the integrity of...
This paper describes ultra-fine pitch 3D integration development using wafer level Cu/insulator hybrid bonding approach on 300mm substrate. Via-middle process with TSV dimension of 5×50μm is utilized to demonstrate and characterize vertical interconnects formed via face-to-face wafer-to-wafer (W2W) bonding. Key process steps are introduced with specific requirements and challenges. A non-SiO2 insulator...
In this paper,the wettability, quality of joint formation and electrical yield of daisy chains in 3D stacks when using Cobalt and Nickel as UBM with different finish layers such as immersion Au, ELD NiB, ELD Cu and SAM are investigated. The performance of the stacks are characterized by cross-section SEM images, EDS analysis and electrical resistance measurement of the daisy chains.
A feasibility study of die-to-wafer (D2W) bonding of inorganic dielectric layers is conducted on common industrial tools in a typical cleanroom environment. With the help of an additional cleaning step after dicing of the top wafers or using stealth dicing process, 100% bonding success rate from wafers with chemical mechanical polished (CMP-ed) SiO2 has been achieved. Plasma treatment of the top dies...
Room temperature and pressure bonding is shown for wafer-to-wafer (W2W) bonding but never for small chips. Usually, thermo-compression bonding (TCB) is employed for die-to-die (D2D) and die-to-wafer (D2W) bonding for 3D and MEMS application. TCB process is itself limited by tool capability and requires pressure and temperature for bonding which is not only time consuming but can induce coefficient...
We investigate multi-stack dielectric wafer bonding through two integration schemes, which provide different paths to realize vertical integration of multiple device layers. Key process steps are evaluated and optimized to enable void-less bonds at different bonding layers. Meanwhile, issues related to the wafer edge are discovered during the backside processing and the impact is analyzed. Finally,...
Over the past few years, temporary bonding has spread together with the development of 3D stacked IC (SIC) technology. Maturity of the various processes has constantly improved. Early processes enabled first demonstration of circuit thinning and thin wafer debonding. Each material generation has brought a step function in the technology maturity, which is now reaching a level allowing first 3D-SIC...
High performance 3D integration Systems need a higher interconnect density between the die than traditional µbump interconnects can offer. For ultra-fine pitches interconnect pitches below 5µm a different solution is required. This paper describes a hybrid wafer-to-wafer (W2W) bonding approach that uses Cu damascene patterned surface bonding, allowing to scale down the interconnection pitch below...
In the broad-spectrum of 3D system integration technologies, stacking of die at wafer level is considered a promising and cost effective platform solution for 3D device and 2.5D interposer assembly. The 3D die-to-wafer (D2W) approach consists of a sequence of processes: D2W stacking, wafer-level die encapsulation ("wafer reconstruction") using e.g. wafer-level molding, Wafer thinning, Through...
In this paper a bump-less process is introduced in order to further scale down the pitch of microbumps. Electrical resistance measurement, Cross section SEM and mechanical characterizations show successful 3D stacking using proposed method.
Current TSV integration schemes include via-first, via-middle and via-last process flows. In this paper, a low thermal budget, 10ìm pitch and aspect ratio 10 (5ìm diameter, 50ìm depth) via-last TSV module is presented. The proposed via-last module is plugged in after the thinning module, with 50ìm thinned device wafers temporary bonded to a Si carrier, using Brewer Science Zonebond® material. After...
With the continuous development of 3D technology, il enables different variety in advanced 3D packaging. One oi the 3D package type which is currently being explored is the die-to-wafer (D2W) configuration. The 3D D2W assembly can be packaged using a standard flip chip with a laminate or BGA substrate but it has certain limitation in terms oí deformation induced during processing due to temperature...
In this paper we report results and challenges of replacing Cu with Co as UBM (under bump metallization) in microbumps for 3D technology applications. Cobalt has softer and single IMC (intermetallic compounds) and according to calculations using Cobalt as UBM can reduce consumption of UBM material by solder which is attractive for sub 10um pitches of microbumps. However, cobalt oxidizes very fast...
Set the date range to filter the displayed results. You can set a starting date, ending date or both. You can enter the dates manually or choose them from the calendar.